1
0
Fork 0
2023-fpga-computer/src/pins.pcf

3 lines
38 B
Plaintext
Raw Normal View History

2023-01-04 02:26:45 +00:00
set_io --warn-no-port CLK B2 # input