From c43955f8e8d6c21406b9504a3931e7bd2c334f8f Mon Sep 17 00:00:00 2001 From: Austin Morlan Date: Sat, 4 Mar 2023 17:57:05 -0800 Subject: [PATCH] Update pin constraint file --- build.sh | 2 +- src/pins.pcf | 11 ++++++++++- src/sap3/top.v | 2 +- 3 files changed, 12 insertions(+), 3 deletions(-) diff --git a/build.sh b/build.sh index 6e816a3..d5dfa96 100755 --- a/build.sh +++ b/build.sh @@ -69,7 +69,7 @@ if [ -z "$COMMAND" ]; then mkdir -p $BUILD_DIR/sap3 pushd $BUILD_DIR/sap3 > /dev/null - $BIN_DIR/yosys -p "synth_ice40 -json hardware.json" $SAP3_MODULES $SAP3_TOP + $BIN_DIR/yosys -q -p "synth_ice40 -json hardware.json" $SAP3_MODULES $SAP3_TOP $BIN_DIR/nextpnr-ice40 --lp8k --package cm81 --json hardware.json --asc hardware.asc --pcf $SRC_DIR/pins.pcf -q $BIN_DIR/icepack hardware.asc hardware.bin diff --git a/src/pins.pcf b/src/pins.pcf index ff7bc53..4ca26cc 100644 --- a/src/pins.pcf +++ b/src/pins.pcf @@ -1,2 +1,11 @@ -set_io --warn-no-port CLK B2 # input +set_io --warn-no-port CLK B2 # input +set_io --warn-no-port PIN_24 A6 # input +set_io --warn-no-port PIN_4 C2 # output +set_io --warn-no-port PIN_5 C1 # output +set_io --warn-no-port PIN_6 D2 # output +set_io --warn-no-port PIN_7 D1 # output +set_io --warn-no-port PIN_8 E2 # output +set_io --warn-no-port PIN_9 E1 # output +set_io --warn-no-port PIN_10 G2 # output +set_io --warn-no-port PIN_11 H1 # output diff --git a/src/sap3/top.v b/src/sap3/top.v index a78079b..71d4492 100644 --- a/src/sap3/top.v +++ b/src/sap3/top.v @@ -24,7 +24,7 @@ always @(posedge clk, posedge rst) begin end end -reg[18:0] bus; +reg[15:0] bus; always @(*) begin bus = 16'b0;