module adder( input[7:0] a, input[7:0] b, input sub, input en, output[7:0] bus); assign bus = (en) ? ((sub) ? a-b : a+b) : 8'bz; endmodule