module reg_b( input clk, input load, input[7:0] bus, output[7:0] val); reg[7:0] reg_b = 0; always @(posedge clk) begin if (load) begin reg_b <= bus; end end assign val = reg_b; endmodule