1
0
Fork 0
2023-fpga-computer/src/sap1/adder.v

12 lines
121 B
Verilog

module adder(
input[7:0] a,
input[7:0] b,
input sub,
output[7:0] out
);
assign out = (sub) ? a-b : a+b;
endmodule