1
0
Fork 0
2023-fpga-computer/src/sap1/pc.v

22 lines
233 B
Coq
Raw Normal View History

2023-01-04 02:26:45 +00:00
module pc(
input clk,
input rst,
2023-01-04 02:26:45 +00:00
input inc,
output[7:0] out
2023-01-04 02:26:45 +00:00
);
reg[3:0] pc;
2023-01-04 02:26:45 +00:00
always @(posedge clk, posedge rst) begin
if (rst) begin
2023-01-04 02:26:45 +00:00
pc <= 4'b0;
end else if (inc) begin
pc <= pc + 1;
end
end
assign out = pc;
2023-01-04 02:26:45 +00:00
endmodule