1
0
Fork 0
2023-fpga-computer/src/sap1/clock.v

11 lines
113 B
Verilog

module clock(
input hlt,
input clk_in,
output clk_out
);
assign clk_out = (hlt) ? 1'b0 : clk_in;
endmodule