1
0
Fork 0
2023-fpga-computer/src/sap1/clock.v

11 lines
113 B
Coq
Raw Permalink Normal View History

2023-01-04 02:26:45 +00:00
module clock(
input hlt,
input clk_in,
output clk_out
);
2023-01-04 02:26:45 +00:00
assign clk_out = (hlt) ? 1'b0 : clk_in;
endmodule