1
0
Fork 0
2023-fpga-computer/src/sap2/pc.v

26 lines
306 B
Coq
Raw Permalink Normal View History

2023-01-04 02:26:45 +00:00
module pc(
input clk,
input rst,
2023-01-04 02:26:45 +00:00
input inc,
2023-01-15 21:57:23 +00:00
input load,
input[15:0] bus,
output[15:0] out
2023-01-04 02:26:45 +00:00
);
reg[15:0] pc;
2023-01-04 02:26:45 +00:00
always @(posedge clk, posedge rst) begin
if (rst) begin
2023-01-15 21:57:23 +00:00
pc <= 16'b0;
end else if (load) begin
pc <= bus;
2023-01-04 02:26:45 +00:00
end else if (inc) begin
pc <= pc + 1;
end
end
assign out = pc;
2023-01-04 02:26:45 +00:00
endmodule